中文English
In 2020, the sales of global semiconductor equipment manufacturers ranked Top15Responses from 350 companiesThe data are based on a survey of about 350 semiconductor equipment manuf...

Semiconductor testing equipment ranking

< p >

In 2020, the sales of global semiconductor equipment manufacturers ranked Top15

Responses from 350 companies

The data are based on a survey of about 350 semiconductor equipment manufacturers worldwide. Sales are the total sales of semiconductor manufacturing equipment from January to December 2020, and each company's sales include equipment, service and support. It does not include OEM equipment sales and equipment sold by agents.

AMAT remains number one in 2020

Applied Materials (AMAT) remains the No. 1 company in 2020. Apart from losing to ASML in 2011, AMAT has held the top spot for many years. Compared to 2019, in addition to the third and fourth place swaps and the rise of Lam Research Company, Kokusai Electric Company also moved up one place. In addition, South Korea's SEMES, which had fallen out of the list, saw a sharp increase in sales, replacing Canon, which ranked 15th in 2019, and entering the TOP15 for the first time since 2018.

Of the top 15 companies, seven Japanese companies made the list: Tokyo Electron, Advantest, SCREEN, Hitachi Higt-Tech, Kokusai Electric, Nikon, Daifuku. In addition, only two of the top 15 companies reported year-over-year sales declines.

The Chinese company on the list is ASM Pacific Technology, ranked 14th. According to public information, ASM Pacific Technology Limited is a Hong Kong investment holding company primarily engaged in the production of machinery and materials for the semiconductor and electronics industries. Listed in Hong Kong in 1989, it is currently 54% owned by ASM International N.V. All. The company is headquartered in Hong Kong, China, but has production and R&D facilities in Shenzhen, Singapore and Malaysia.